SCIUTO, DONATELLA

SCIUTO, DONATELLA  

DIPARTIMENTO DI ELETTRONICA, INFORMAZIONE E BIOINGEGNERIA  

Mostra records
Risultati 1 - 20 di 484 (tempo di esecuzione: 0.028 secondi).
Titolo Data di pubblicazione Autori File
A Bird’s Eye View on Quantum Computing: Current and Future Trends 1-gen-2023 Beatrice BranchiniDavide ConficconiFrancesco PeverelliDonatella SciutoMarco D. Santambrogio
A Caronte-oriented approach to a network-based educational infrastructure 1-gen-2006 FERRANDI, FABRIZIORANA, VINCENZOSANTAMBROGIO, MARCO DOMENICOSCIUTO, DONATELLA +
A CMOS fault tolerant architecture for switch-level faults 1-gen-1994 BOLCHINI, CRISTIANASCIUTO, DONATELLA +
A Compact Transactional Memory Multiprocessor System on FPGA 1-gen-2010 PALERMO, GIANLUCASCIUTO, DONATELLA +
A comparative evaluation of bit-serial convolvers 1-gen-1989 BREVEGLIERI, LUCA ODDONEDADDA, LUIGISCIUTO, DONATELLA +
A complete test strategy based on interacting and hierarchical FSMs 1-gen-1997 SCIUTO, DONATELLA +
A Complete Testing Strategy Based on Interacting and Hierarchical FSMs 1-gen-1997 SCIUTO, DONATELLA +
A Comprehensive Methodology to Optimize FPGA Designs via the Roofline Model 1-gen-2021 Del Sozzo E.Rabozzi M.Di Tucci L.Sciuto D.Santambrogio M. D. +
A conceptual analysis framework for low power design of embedded systems 1-gen-1996 FORNACIARI, WILLIAMSCIUTO, DONATELLASILVANO, CRISTINA +
A Conceptual-Level Approach to Embedded System Design 1-gen-1993 FORNACIARI, WILLIAMSCIUTO, DONATELLA +
A Data Oriented Approach to the Design of Reconfigurable Stream Decoders 1-gen-2005 AGOSTA, GIOVANNIBRUSCHI, FRANCESCOSANTAMBROGIO, MARCO DOMENICOSCIUTO, DONATELLA
A Decentralized Approach to Award Game Achievements 1-gen-2023 Francesco BruschiDonatella SciutoTommaso Paulon +
A Decentralized System for Fair Token Distribution and Seamless Users Onboarding 1-gen-2020 Bruschi F.Rana V.Sciuto D. +
A Design Flow Tailored for Self Dynamic Reconfigurable Architecture 1-gen-2008 CANCARE', FABIOSANTAMBROGIO, MARCO DOMENICOSCIUTO, DONATELLA
A Design Framework to Efficiently Explore Energy-Delay Tradeoffs 1-gen-2001 FORNACIARI, WILLIAMSCIUTO, DONATELLASILVANO, CRISTINAZACCARIA, VITTORIO
A Design Kit for a Fully Working Shared Memory Multiprocessor on FPGA 1-gen-2007 FERRANDI, FABRIZIOMONCHIERO, MATTEOPALERMO, GIANLUCASCIUTO, DONATELLATUMEO, ANTONINO
A Design Methodology for Dynamic Reconfiguration: The Caronte Architecture 1-gen-2005 FERRANDI, FABRIZIOSANTAMBROGIO, MARCO DOMENICOSCIUTO, DONATELLA
A Design Methodology for the Exploitation of High Level Communication Synthesis 1-gen-2004 BRUSCHI, FRANCESCOSCIUTO, DONATELLA +
A design methodology to implement memory accesses in High-Level Synthesis 1-gen-2011 PILATO, CHRISTIANFERRANDI, FABRIZIOSCIUTO, DONATELLA
A Design Workflow for Dynamically Recongurable Multi-FPGA Systems 1-gen-2010 SANTAMBROGIO, MARCO DOMENICOREDAELLI, FRANCESCOCANCARE', FABIOSCIUTO, DONATELLA +