PILATO, CHRISTIAN

PILATO, CHRISTIAN  

DIPARTIMENTO DI ELETTRONICA, INFORMAZIONE E BIOINGEGNERIA  

Mostra records
Risultati 1 - 20 di 110 (tempo di esecuzione: 0.031 secondi).
Titolo Data di pubblicazione Autori File
A Composable Design Space Exploration Framework to Optimize Behavioral Locking 1-gen-2022 Collini L.Pilato C. +
A design methodology for compositional high-level synthesis of communication-centric SoCs 1-gen-2014 Pilato, Christian +
A Design Methodology for the Automatic Sizing of Standard-Cell Libraries 1-gen-2011 PILATO, CHRISTIANFERRANDI, FABRIZIO +
A design methodology to implement memory accesses in High-Level Synthesis 1-gen-2011 PILATO, CHRISTIANFERRANDI, FABRIZIOSCIUTO, DONATELLA
A Fast Heuristic for Extending Standard Cell Libraries with Regular Macro Cells 1-gen-2010 PILATO, CHRISTIANFERRANDI, FABRIZIO +
A Flexible and Reconfigurable Interconnection Structure for FPGA Dataflow Applications 1-gen-2013 DURELLI, GIANLUCA CARLONACCI, ALESSANDRO ANTONIOCATTANEO, RICCARDOPILATO, CHRISTIANSCIUTO, DONATELLASANTAMBROGIO, MARCO DOMENICO
A framework for effective exploitation of partial reconfiguration in dataflow computing 1-gen-2013 CATTANEO, RICCARDOPILATO, CHRISTIANSANTAMBROGIO, MARCO DOMENICO +
A Multi-objective Genetic Algorithm for Design Space Exploration in High-Level Synthesis 1-gen-2008 FERRANDI, FABRIZIOLANZI, PIER LUCALOIACONO, DANIELEPILATO, CHRISTIANSCIUTO, DONATELLA
A Runtime Adaptive Controller for Supporting Hardware Components with Variable Latency 1-gen-2011 PILATO, CHRISTIANCASTELLANA, VITO GIOVANNILOVERGINE, SILVIAFERRANDI, FABRIZIO
A Simulation-Based Framework for the Exploration of Mapping Solutions on Heterogeneous MPSoCs 1-gen-2013 MIELE, ANTONIO ROSARIOPILATO, CHRISTIANSCIUTO, DONATELLA
A Survey and Evaluation of FPGA High-Level Synthesis Tools 1-gen-2016 PILATO, CHRISTIANFERRANDI, FABRIZIO +
A Survey of FPGA Optimization Methods for Data Center Energy Efficiency 1-gen-2023 Tibaldi M.Pilato C.
A Survey on Domain-Specific Memory Architectures 1-gen-2021 S. SoldaviniC. Pilato
A SystemC-Based Framework for the Simulation of Appliances Networks in Energy-Aware Smart Spaces 1-gen-2014 NACCI, ALESSANDRO ANTONIOPILATO, CHRISTIANRANA, VINCENZOSANTAMBROGIO, MARCO DOMENICOSCIUTO, DONATELLA +
A2B: an Integrated Framework for Designing Heterogeneous and Reconfigurable Systems 1-gen-2013 SCIUTO, DONATELLASANTAMBROGIO, MARCO DOMENICOPILATO, CHRISTIANCATTANEO, RICCARDODURELLI, GIANLUCA CARLONACCI, ALESSANDRO ANTONIO
Accelerator Design with High-Level Synthesis In corso di stampa C. PilatoS. Soldavini
Adaptive Raytracing Implementation Using Partial Dynamic Reconfiguration 1-gen-2014 DURELLI, GIANLUCA CARLOSPADA, FABRIZIOCATTANEO, RICCARDOPILATO, CHRISTIANSANTAMBROGIO, MARCO DOMENICO +
Agile SoC Development with Open ESP : Invited Paper 1-gen-2020 Pilato C. +
ALICE: An Automatic Design Flow for eFPGA Redaction 1-gen-2022 Collini L.Pilato C. +
An automated framework for the simulation of mapping solutions on heterogeneous MPSoCs 1-gen-2012 MIELE, ANTONIO ROSARIOPILATO, CHRISTIANSCIUTO, DONATELLA