SCIUTO, DONATELLA

SCIUTO, DONATELLA  

DIPARTIMENTO DI ELETTRONICA, INFORMAZIONE E BIOINGEGNERIA  

Mostra records
Risultati 1 - 20 di 101 (tempo di esecuzione: 0.048 secondi).
Titolo Data di pubblicazione Autori File
A Complete Testing Strategy Based on Interacting and Hierarchical FSMs 1-gen-1997 SCIUTO, DONATELLA +
A Comprehensive Methodology to Optimize FPGA Designs via the Roofline Model 1-gen-2021 Del Sozzo E.Rabozzi M.Di Tucci L.Sciuto D.Santambrogio M. D. +
A Framework for the Functional Verification of SystemC Models 1-gen-2005 BRUSCHI, FRANCESCOFERRANDI, FABRIZIOSCIUTO, DONATELLA
A hierarchical test generation approach for large controllers 1-gen-2000 SCIUTO, DONATELLA +
A Mapping Flow for Dynamically reconfigurable Multi-Core System-on-Chip Design 1-gen-2011 RANA, VINCENZOSCIUTO, DONATELLA +
A Mapping-Scheduling Algorithm for Hardware Acceleration on Reconfigurable Platforms 1-gen-2014 RANA, VINCENZOSCIUTO, DONATELLA +
A new DFT methodology for sequential circuits 1-gen-1995 SCIUTO, DONATELLA +
A Performance-Aware Quality of Service-Driven Scheduler for Multicore Processors 1-gen-2014 SIRONI, FILIPPOSCIUTO, DONATELLASANTAMBROGIO, MARCO DOMENICO
A reconfiguration algorithm for delay minimization in VLSI/WSI array processors 1-gen-1987 SCIUTO, DONATELLA
A scalable decentralized system for fair token distribution and seamless users onboarding 1-gen-2022 Francesco BruschiDonatella Sciuto +
A Sensitivity-Based Design Space Exploration Methodology for Embedded Systems 1-gen-2002 FORNACIARI, WILLIAMSCIUTO, DONATELLASILVANO, CRISTINAZACCARIA, VITTORIO
A Simulation-Based Framework for the Exploration of Mapping Solutions on Heterogeneous MPSoCs 1-gen-2013 MIELE, ANTONIO ROSARIOPILATO, CHRISTIANSCIUTO, DONATELLA
A Transform-Parametric Approach to Boolean Matching 1-gen-2009 AGOSTA, GIOVANNIBRUSCHI, FRANCESCOPELOSI, GERARDOSCIUTO, DONATELLA
A two-level cosimulation environment 1-gen-1997 FORNACIARI, WILLIAMSALICE, FABIOSCIUTO, DONATELLA
A VHDL-based Approach for Power Estimation of Embedded Systems 1-gen-1997 FORNACIARI, WILLIAMSCIUTO, DONATELLASILVANO, CRISTINA +
A Wafer Level Testability Approach Based on an Improved Scan Insertion Technique 1-gen-1995 BOLCHINI, CRISTIANAFERRANDI, FABRIZIOSCIUTO, DONATELLA +
Adaptive and Flexible Smartphone Power Modeling 1-gen-2013 NACCI, ALESSANDRO ANTONIOTROVO', FRANCESCOMAGGI, FEDERICOFERRONI, MATTEOSCIUTO, DONATELLASANTAMBROGIO, MARCO DOMENICO +
Affinity-driven system design exploration for heterogeneous multiprocessor SoC 1-gen-2006 BRANDOLESE, CARLOFORNACIARI, WILLIAMSALICE, FABIOSCIUTO, DONATELLA +
ALADIN: a multilevel testability analyzer for VLSI system design 1-gen-1994 FERRANDI, FABRIZIOSCIUTO, DONATELLA +
An Algorithm for Functional Reconfiguration of Fixed-Size Arrays 1-gen-1988 SCIUTO, DONATELLA +