ZONI, DAVIDE

ZONI, DAVIDE  

DIPARTIMENTO DI ELETTRONICA, INFORMAZIONE E BIOINGEGNERIA  

Mostra records
Risultati 1 - 20 di 68 (tempo di esecuzione: 0.025 secondi).
Titolo Data di pubblicazione Autori File
A Comprehensive Side-Channel Information Leakage Analysis of an In-Order RISC CPU Microarchitecture 1-gen-2018 D. ZoniA. BarenghiG. PelosiW. Fornaciari
A COMPUTING PLATFORM AND METHOD FOR SYNCHRONIZE THE PROTOTYPE EXECUTION AND SIMULATION OF HARDWARE DEVICE 1-gen-2021 Fornaciari W.Zoni D.
A computing platform and method for synchronize the prototype execution and simulation of hardware devices 1-gen-2020 Davide Zoniwilliam fornaciari
A COMPUTING PLATFORM FOR PREVENTING SIDE CHANNEL ATTACKS 1-gen-2021 Fornaciari W.Zoni D.
A Control-based Methodology for Power-performance Optimization in NoCs Exploiting DVFS 1-gen-2015 Zoni, DavideTerraneo, FedericoFornaciari, William
A Control-Inspired Iterative Algorithm for Memory Management in NUMA Multicores 1-gen-2014 FARINA, MARCELLOZONI, DAVIDEFORNACIARI, WILLIAM
A cycle accurate simulation framework for asynchronous NoC design 1-gen-2013 TERRANEO, FEDERICOZONI, DAVIDEFORNACIARI, WILLIAM
A DVFS Cycle Accurate Simulation Framework with Asynchronous NoC Design for Power-Performance Optimizations 1-gen-2016 ZONI, DAVIDETERRANEO, FEDERICOFORNACIARI, WILLIAM
A Fresh View on the Microarchitectural Design of FPGA-Based RISC CPUs in the IoT Era 1-gen-2019 Davide Zoni +
A Low-Overhead Heuristic for Mixed Workload Resource Partitioning in Cluster-Based Architectures 1-gen-2012 ZONI, DAVIDEBELLASI, PATRICKFORNACIARI, WILLIAM
A sensor-less NBTI mitigation methodology for NoC architectures 1-gen-2012 ZONI, DAVIDEFORNACIARI, WILLIAM
A survey on run-time power monitors at the edge 1-gen-2023 Davide ZoniAndrea GalimbertiWilliam Fornaciari
A Temperature and Reliability Oriented Simulation Framework for Multi-core Architectures 1-gen-2012 CORBETTA, SIMONEZONI, DAVIDEFORNACIARI, WILLIAM
Adaptive routing and dynamic frequency scaling for NoC power-performance optimizations 1-gen-2013 ZONI, DAVIDEFORNACIARI, WILLIAM +
All-digital control-theoretic scheme to optimize energy budget and allocation in multi-cores 1-gen-2020 Zoni, DavideCremona, LucaFornaciari, William
All-digital energy-constrained controller for general-purpose accelerators and CPUs 1-gen-2019 Davide ZoniLuca CremonaWilliam Fornaciari
An Accurate Simulation Framework for Thermal Explorations and Optimizations 1-gen-2015 TERRANEO, FEDERICOZONI, DAVIDEFORNACIARI, WILLIAM
An analytical, dynamic, power-performance router model for run-time NoC optimizations 1-gen-2013 ZONI, DAVIDETERRANEO, FEDERICOFORNACIARI, WILLIAM
An Evaluation of the State-Of-The-Art Software and Hardware Implementations of BIKE 1-gen-2023 Andrea GalimbertiGabriele MontanaroWilliam FornaciariDavide Zoni
An FPU design template to optimize the accuracy-efficiency-area trade-off 1-gen-2021 Davide ZoniAndrea GalimbertiWilliam Fornaciari