ZONI, DAVIDE

ZONI, DAVIDE  

DIPARTIMENTO DI ELETTRONICA, INFORMAZIONE E BIOINGEGNERIA  

Mostra records
Risultati 1 - 20 di 39 (tempo di esecuzione: 0.05 secondi).
Titolo Data di pubblicazione Autori File
A Control-Inspired Iterative Algorithm for Memory Management in NUMA Multicores 1-gen-2014 FARINA, MARCELLOZONI, DAVIDEFORNACIARI, WILLIAM
A cycle accurate simulation framework for asynchronous NoC design 1-gen-2013 TERRANEO, FEDERICOZONI, DAVIDEFORNACIARI, WILLIAM
A Low-Overhead Heuristic for Mixed Workload Resource Partitioning in Cluster-Based Architectures 1-gen-2012 ZONI, DAVIDEBELLASI, PATRICKFORNACIARI, WILLIAM
A sensor-less NBTI mitigation methodology for NoC architectures 1-gen-2012 ZONI, DAVIDEFORNACIARI, WILLIAM
A Temperature and Reliability Oriented Simulation Framework for Multi-core Architectures 1-gen-2012 CORBETTA, SIMONEZONI, DAVIDEFORNACIARI, WILLIAM
Adaptive routing and dynamic frequency scaling for NoC power-performance optimizations 1-gen-2013 ZONI, DAVIDEFORNACIARI, WILLIAM +
An Accurate Simulation Framework for Thermal Explorations and Optimizations 1-gen-2015 TERRANEO, FEDERICOZONI, DAVIDEFORNACIARI, WILLIAM
An analytical, dynamic, power-performance router model for run-time NoC optimizations 1-gen-2013 ZONI, DAVIDETERRANEO, FEDERICOFORNACIARI, WILLIAM
An Evaluation of the State-Of-The-Art Software and Hardware Implementations of BIKE 1-gen-2023 Andrea GalimbertiGabriele MontanaroWilliam FornaciariDavide Zoni
Analysis and countermeasures to side-channel attacks: a hardware design perspective 1-gen-2019 davide zoni
Consolidation of multi-tier workloads with performance and reliability constraints 1-gen-2012 SANSOTTERA, ANDREAZONI, DAVIDECREMONESI, PAOLOFORNACIARI, WILLIAM
DENA: A DVFS-Capable Heterogeneous NoC Architecture 1-gen-2017 CREMONA, LUCAFornaciari, WilliamMarchese, AndreaZanella, MicheleZoni, Davide
Dynamic Power Consumption of the Full Posit Processing Unit: Analysis and Experiments 1-gen-2023 Michele PiccoliDavide ZoniWilliam FornaciariGiueppe Massari +
Enabling HPC for QoS-sensitive applications: The MANGO approach 1-gen-2016 Agosta, GiovanniBrandolese, CarloCilardo, AlessandroFornaciari, WilliamMassari, GiuseppeZoni, Davide +
Evaluating the Trade-offs in the Hardware Design of the LEDAcrypt Encryption Functions 1-gen-2019 A. BarenghiW. FornaciariA. GalimbertiG. PelosiD. Zoni
Fast Estimations of Failure Probability Over Long Time Spans 1-gen-2018 Zoni, Davide +
FPGA implementation of BIKE for quantum-resistant TLS 1-gen-2022 Galimberti, AndreaGalli, DavideMontanaro, GabrieleFornaciari, WilliamZoni, Davide
HANDS: Heterogeneous Architectures and Networks-on-Chip Design and Simulation 1-gen-2012 Zoni, DavideCorbetta, SimoneFornaciari, William
Hardware and Software Support for Mixed Precision Computing: A Roadmap for Embedded and HPC Systems 1-gen-2023 Fornaciari W.Agosta G.Cattaneo D.Denisov L.Galimberti A.Magnani G.Zoni D.
Hardware-Software Co-Design of BIKE with HLS-Generated Accelerators 1-gen-2022 Montanaro G.Galimberti A.Zoni D. +