MIELE, ANTONIO ROSARIO

MIELE, ANTONIO ROSARIO  

DIPARTIMENTO DI ELETTRONICA, INFORMAZIONE E BIOINGEGNERIA  

Mostra records
Risultati 1 - 20 di 99 (tempo di esecuzione: 0.031 secondi).
Titolo Data di pubblicazione Autori File
A Data-Mining Approach to Preference-Based Data Ranking Founded on Contextual Information 1-gen-2013 MIELE, ANTONIO ROSARIOQUINTARELLI, ELISARABOSIO, EMANUELETANCA, LETIZIA
A dynamic reliability management framework for heterogeneous multicore systems 1-gen-2017 BALDASSARI, ALESSANDROBolchini, CristianaMiele, Antonio
A Fault Analysis and Classifier Framework for Reliability-Aware SRAM-Based FPGA Systems 1-gen-2009 BOLCHINI, CRISTIANACASTRO, FABRIZIOMIELE, ANTONIO ROSARIO
A fault-injection methodology for the system-level dependability analysis of multiprocessor embedded systems 1-gen-2014 MIELE, ANTONIO ROSARIO
A Framework for Reliability Assessment and Enhancement in Multi-Processor Systems-On-Chip 1-gen-2007 BELTRAME, GIANNIBOLCHINI, CRISTIANAFOSSATI, LUCAMIELE, ANTONIO ROSARIOSCIUTO, DONATELLA
A framework to model self-adaptive Computing Systems 1-gen-2013 BOLCHINI, CRISTIANACARMINATI, MATTEOMIELE, ANTONIO ROSARIOQUINTARELLI, ELISA
A lifetime-aware runtime mapping approach for many-core systems in the dark silicon era 1-gen-2016 MIELE, ANTONIO ROSARIO +
A lightweight and open-source framework for the lifetime estimation of multicore systems 1-gen-2014 BOLCHINI, CRISTIANACARMINATI, MATTEOGRIBAUDO, MARCOMIELE, ANTONIO ROSARIO
A methodology for preference-based personalization of contextual data 1-gen-2009 MIELE, ANTONIO ROSARIOQUINTARELLI, ELISATANCA, LETIZIA
A methodology for the design and deployment of distributed cyber–physical systems for smart environments 1-gen-2020 Cassano L.Miele A. +
A model of soft error effects in generic IP processors 1-gen-2005 BOLCHINI, CRISTIANAMIELE, ANTONIO ROSARIOSALICE, FABIOSCIUTO, DONATELLA
A Multi-Objective Genetic Algorithm Framework for Design Space Exploration of Reliable FPGA-based Systems 1-gen-2010 BOLCHINI, CRISTIANAMIELE, ANTONIO ROSARIOLANZI, PIER LUCA
A Neural Network Based Fault Management Scheme for Reliable Image Processing 1-gen-2020 Biasielli M.Bolchini C.Cassano L.Miele A. +
A novel design methodology for implementingreliability-aware systems on SRAM-based FPGAs 1-gen-2011 BOLCHINI, CRISTIANAMIELE, ANTONIO ROSARIOSANDIONIGI, CHIARA
A power-aware approach for online test scheduling in many-core architectures 1-gen-2016 MIELE, ANTONIO ROSARIO +
A Reliable Reconfiguration Controller for Fault-Tolerant Embedded Systems on Multi-FPGA platforms 1-gen-2010 BOLCHINI, CRISTIANAMIELE, ANTONIO ROSARIOSANDIONIGI, CHIARA +
A runtime controller for openCL applications on heterogeneous system architectures 1-gen-2018 Bolchini, CristianaCherubin, StefanoDurelli, Gianluca C.Libutti, SimoneMiele, AntonioSantambrogio, Marco D.
A runtime controller for OpenCL applications on heterogeneous system architectures 1-gen-2016 Bolchini, CristianaCherubin, StefanoDurelli, Gianluca C.Libutti, SimoneMiele, AntonioSantambrogio, Marco D.
A Runtime Resource Management and Provisioning Middleware for Fog Computing Infrastructures 1-gen-2022 Antonio MieleLuca CassanoCristiana Bolchini +
A Runtime Resource Management Policy for OpenCL Workloads on Heterogeneous Multicores 1-gen-2019 Francesco BertaniCristiana BolchiniFrancesco CerizziAntonio Miele +