MIELE, ANTONIO ROSARIO

MIELE, ANTONIO ROSARIO  

DIPARTIMENTO DI ELETTRONICA, INFORMAZIONE E BIOINGEGNERIA  

Mostra records
Risultati 1 - 20 di 26 (tempo di esecuzione: 0.034 secondi).
Titolo Data di pubblicazione Autori File
A Data-Mining Approach to Preference-Based Data Ranking Founded on Contextual Information 1-gen-2013 MIELE, ANTONIO ROSARIOQUINTARELLI, ELISARABOSIO, EMANUELETANCA, LETIZIA
A fault-injection methodology for the system-level dependability analysis of multiprocessor embedded systems 1-gen-2014 MIELE, ANTONIO ROSARIO
A methodology for the design and deployment of distributed cyber–physical systems for smart environments 1-gen-2020 Cassano L.Miele A. +
A Neural Network Based Fault Management Scheme for Reliable Image Processing 1-gen-2020 Biasielli M.Bolchini C.Cassano L.Miele A. +
A novel design methodology for implementingreliability-aware systems on SRAM-based FPGAs 1-gen-2011 BOLCHINI, CRISTIANAMIELE, ANTONIO ROSARIOSANDIONIGI, CHIARA
A power-aware approach for online test scheduling in many-core architectures 1-gen-2016 MIELE, ANTONIO ROSARIO +
A runtime controller for openCL applications on heterogeneous system architectures 1-gen-2018 Bolchini, CristianaCherubin, StefanoDurelli, Gianluca C.Libutti, SimoneMiele, AntonioSantambrogio, Marco D.
A Runtime Resource Management and Provisioning Middleware for Fog Computing Infrastructures 1-gen-2022 Antonio MieleLuca CassanoCristiana Bolchini +
A Simulation-Based Framework for the Exploration of Mapping Solutions on Heterogeneous MPSoCs 1-gen-2013 MIELE, ANTONIO ROSARIOPILATO, CHRISTIANSCIUTO, DONATELLA
Approximation-Based Fault Tolerance in Image Processing Applications 1-gen-2022 Bolchini C.Cassano L.Mazzeo A.Miele A. +
Autonomous Fault-Tolerant Systems onto SRAM-based FPGA Platforms 1-gen-2013 BOLCHINI, CRISTIANAMIELE, ANTONIO ROSARIO +
Can Dark Silicon Be Exploited to Prolong System Lifetime? 1-gen-2017 MIELE, ANTONIO ROSARIOBOLCHINI, CRISTIANA +
CAST: Content-Aware STT-MRAM Cache Write Management for Different Levels of Approximation 1-gen-2020 Miele A. +
Design of Fault-Tolerant Distributed Cyber-Physical Systems for Smart Environments 1-gen-2022 Cassano L.Miele A. +
Fast and Accurate Error Simulation for CNNs Against Soft Errors 1-gen-2023 Bolchini C.Cassano L.Miele A. +
Fault Impact Estimation for Lightweight Fault Detection in Image Filtering 1-gen-2022 Bolchini C.Boracchi G.Cassano L.Miele A.Stucchi D.
Floorplanning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Generation 1-gen-2017 RABOZZI, MARCODURELLI, GIANLUCA CARLOMIELE, ANTONIO ROSARIOSANTAMBROGIO, MARCO DOMENICO +
Guest Editor's Introduction: Special Section on Reliability-Aware Design and Analysis Methods for Digital Systems: From Gate to System Level 1-gen-2020 Miele A. +
Guest Editorial: Defect and Fault Tolerance in VLSI and Nanotechnology Systems 1-gen-2019 Miele A. +
Performance/Reliability-Aware Resource Management for Many-Cores in Dark Silicon Era 1-gen-2017 MIELE, ANTONIO ROSARIO +