Current integrated circuits exhibit an impressive and in- creasing component density, hence an alarming power density. Future devices will require breakthroughs in hardware power dissipation strate- gies and software active thermal management to operate reliably and maximise performance. In this scenario, thermal modelling plays a key role in the design of next generation cooling and thermal management solutions. However, extending existing thermal models, or designing new ones to account for new cooling solutions, requires parameter identica- tion as well as a validation phase to ensure correctness of the results. In this paper, we propose a exible solution to the validation issue, in the form of a hardware platform based on a Thermal Test Chip (TTC). The proposed platform allows to test a heat dissipation solution under real- istic conditions, including fast spatial and temporal power gradients as well as hot spots, while collecting a temperature map of the active silicon layer. The combined power/temperature map is the key input to validate a thermal model, in both the steady state and transient case. This paper presents the current development of the platform, and provides a rst validation dataset for the case of a commercial heat sink.

An Open-hardware Platform for MPSoC Thermal Modeling

Federico Terraneo;Alberto Leva;William Fornaciari
2019-01-01

Abstract

Current integrated circuits exhibit an impressive and in- creasing component density, hence an alarming power density. Future devices will require breakthroughs in hardware power dissipation strate- gies and software active thermal management to operate reliably and maximise performance. In this scenario, thermal modelling plays a key role in the design of next generation cooling and thermal management solutions. However, extending existing thermal models, or designing new ones to account for new cooling solutions, requires parameter identica- tion as well as a validation phase to ensure correctness of the results. In this paper, we propose a exible solution to the validation issue, in the form of a hardware platform based on a Thermal Test Chip (TTC). The proposed platform allows to test a heat dissipation solution under real- istic conditions, including fast spatial and temporal power gradients as well as hot spots, while collecting a temperature map of the active silicon layer. The combined power/temperature map is the key input to validate a thermal model, in both the steady state and transient case. This paper presents the current development of the platform, and provides a rst validation dataset for the case of a commercial heat sink.
2019
Embedded Computer Systems. Architectures, Modeling, and Simulation. SAMOS 19
Thermal management, Power Management, multi-core
File in questo prodotto:
File Dimensione Formato  
2019-SAMOS-ThermalTestChipSetup.pdf

accesso aperto

Descrizione: camera ready
: Post-Print (DRAFT o Author’s Accepted Manuscript-AAM)
Dimensione 4.57 MB
Formato Adobe PDF
4.57 MB Adobe PDF Visualizza/Apri
Thermal_SAMOS19.pdf

Accesso riservato

Descrizione: versione pubblicata
: Publisher’s version
Dimensione 2.71 MB
Formato Adobe PDF
2.71 MB Adobe PDF   Visualizza/Apri

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11311/1099255
Citazioni
  • ???jsp.display-item.citation.pmc??? ND
  • Scopus 6
  • ???jsp.display-item.citation.isi??? 6
social impact